位置栏目

您现在的位置: 首页  师资队伍  特任副研究员
徐奇  
主要研究方向:三维集成电路物理设计自动化
电话:
邮箱:xuqi@ustc.edu.cn
办公室:
个人简介

人工智能赋能集成电路设计自动化(EDA聚焦于集成电路后端物理设计三维芯片可靠性设计、神经形态计算系统设计的应用基础研究。主持国家自然科学基金专项项目1项,国家自然科学基金青年项目1项,作为项目骨干参与了科技部重点研发计划等项目的研究工作。在EDA领域国际知名期刊和会议上发表论文总计40余篇

个人经历

2020.11-至今,中国科学技术大学,微电子学院,特任副研究员

2018.07-2020.10,合肥工业大学,电子科学与应用物理学院,讲师

2017.09-2017.11,香港中文大学,计算机科学与工程学系,访问学者

2012.09-2018.06,中国科学技术大学,电子科学与技术系,硕士、博士

荣誉

2018年获得中国科学技术大学优秀博士学位论文奖

论文

1. Yongtian Bi, Qi Xu*, Hao Geng, Song Chen, Yi Kang, “AD2VNCS: Adversarial Defense and Device Variation-Tolerance in Memristive Crossbar-Based Neuromorphic Computing Systems”, ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 29, no. 1, pp. 8:1-8:19, 2023.

2. Yongtian Bi, Qi Xu*, Hao Geng, Song Chen, Yi Kang, “Resist: Robust Network Training for Memristive Crossbar-Based Neuromorphic Computing Systems”, IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II), vol. 70, no. 6, pp. 2221-2225, 2023.

3. Qi Xu, Hao Geng, Tianming Ni, Song Chen, Bei Yu, Yi Kang, Xiaoqing Wen, “Fortune: A New FaultTolerance TSV Configuration in Router-based Redundancy Structure”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 10, pp. 3182-3187, 2022.

4. Qi Xu, Hao Geng, Song Chen, Bo Yuan, Cheng Zhuo, Yi Kang, Xiaoqing Wen, “GoodFloorplan: Graph Convolutional Network and Reinforcement Learning Based Floorplanning”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 10, pp. 3492-3502, 2022.

5. Qi Xu, Junpeng Wang, Bo Yuan, Qi Sun, Song Chen, Bei Yu, Yi Kang, Feng Wu, “Reliability-Driven Memristive Crossbar Design in Neuromorphic Computing Systems”, IEEE Transactions on Automation Science and Engineering (TASE), vol. 20, no. 1, pp. 74-87, 2023.

6. Qi Xu, Wenhao Sun, Song Chen, Yi Kang, Xiaoqing Wen, “Cellular structure based fault-tolerance TSV configuration in 3D-IC”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 5, pp. 1196-1208, 2022.

7. Qi Xu, Hao Geng, Song Chen, Bei Yu, Feng Wu, “Memristive Crossbar Mapping for Neuromorphic Computing Systems on 3D IC”, ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 25, no. 1, pp. 8:1-8:19, 2019.

8. Song Chen*, Qi Xu*, Bei Yu, “Adaptive 3D-IC TSV Fault Tolerance Structure Generation”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 38, no. 5, pp. 949-960, 2019.

9. Qi Xu, Song Chen, Xiaodong Xu, Bei Yu, “Clustered Fault Tolerance TSV Planning for 3D Integrated Circuits”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 36, no. 8, pp. 1287-1300, 2017.

10. Qi Xu, Song Chen, Bin Li, “Combining the Ant System Algorithm and Simulated Annealing for 3D/2D Fixed-Outline Floorplanning”, Applied Soft Computing, vol. 40, pp. 150-160, 2016.